Skip to content

Pulse Width modulators

Yiğit Süoğlu edited this page Nov 30, 2020 · 1 revision

Simple modules to generate Pulse-Width modulated output signal.

Modules:

  • pwm_256 modules generate PWM signal with value_in/256 duty cycle.
  • pwm_per100 modules generate PWM signal with value_in% duty cycle. (value_in should not be higher then 100)
  • _sync modules update duty cycle only at the beginning of new cycle.
Port Name Purpose
clk Clock
rst Reset
value_in Duty cycle value
sig_out Modulated signal
sync New cycle indicator
Clone this wiki locally