Skip to content

WIP: Add gearing to the memory island #5

WIP: Add gearing to the memory island

WIP: Add gearing to the memory island #5

Triggered via pull request August 22, 2024 15:14
@micprogmicprog
opened #9
gearing
Status Success
Total duration 4m 51s
Artifacts

gitlab-ci.yml

on: pull_request
Fit to window
Zoom out
Zoom in

Annotations

16 warnings
[verible-verilog-lint] src/geared_memory_island.sv#L47: src/geared_memory_island.sv#L47
Explicitly define a storage type for every parameter and localparam, (MemorySimInit). [Style: constants] [explicit-parameter-storage-type]
[verible-verilog-lint] src/geared_memory_island.sv#L177: src/geared_memory_island.sv#L177
All generate block statements must have a label [Style: generate-statements] [generate-label]
[verible-verilog-lint] src/geared_memory_island.sv#L178: src/geared_memory_island.sv#L178
Explicitly define a storage type for every parameter and localparam, (id). [Style: constants] [explicit-parameter-storage-type]
[verible-verilog-lint] src/geared_memory_island.sv#L262: src/geared_memory_island.sv#L262
All generate block statements must have a label [Style: generate-statements] [generate-label]
[verible-verilog-lint] src/geared_memory_island.sv#L263: src/geared_memory_island.sv#L263
Explicitly define a storage type for every parameter and localparam, (id). [Style: constants] [explicit-parameter-storage-type]
[verible-verilog-lint] src/geared_stream_split.sv#L28: src/geared_stream_split.sv#L28
All generate block statements must have a label [Style: generate-statements] [generate-label]
[verible-verilog-lint] src/geared_stream_split.sv#L30: src/geared_stream_split.sv#L30
All generate block statements must have a label [Style: generate-statements] [generate-label]
[verible-verilog-lint] src/geared_stream_split.sv#L35: src/geared_stream_split.sv#L35
All generate block statements must have a label [Style: generate-statements] [generate-label]
[verible-verilog-lint] src/geared_stream_split.sv#L50: src/geared_stream_split.sv#L50
All generate block statements must have a label [Style: generate-statements] [generate-label]
[verible-verilog-lint] src/geared_stream_collect.sv#L28: src/geared_stream_collect.sv#L28
All generate block statements must have a label [Style: generate-statements] [generate-label]
[verible-verilog-lint] src/geared_stream_collect.sv#L30: src/geared_stream_collect.sv#L30
All generate block statements must have a label [Style: generate-statements] [generate-label]
[verible-verilog-lint] src/geared_stream_collect.sv#L34: src/geared_stream_collect.sv#L34
All generate block statements must have a label [Style: generate-statements] [generate-label]
[verible-verilog-lint] src/geared_stream_collect.sv#L55: src/geared_stream_collect.sv#L55
All generate block statements must have a label [Style: generate-statements] [generate-label]
[verible-verilog-lint] src/geared_stream_collect.sv#L62: src/geared_stream_collect.sv#L62
Line length exceeds max: 100; is: 126 [Style: line-length] [line-length]
[verible-verilog-lint] src/geared_stream_collect.sv#L63: src/geared_stream_collect.sv#L63
Line length exceeds max: 100; is: 110 [Style: line-length] [line-length]
gitlab-ci
The following actions use a deprecated Node.js version and will be forced to run on node20: actions/checkout@v3, actions/setup-python@v4. For more info: https://github.blog/changelog/2024-03-07-github-actions-all-actions-will-run-on-node20-instead-of-node16-by-default/