Skip to content

Commit

Permalink
stream_xbar: Fix non-existing output assertion (#204)
Browse files Browse the repository at this point in the history
  • Loading branch information
colluca authored Dec 14, 2023
1 parent 71a492c commit 145e3be
Showing 1 changed file with 1 addition and 1 deletion.
2 changes: 1 addition & 1 deletion src/stream_xbar.sv
Original file line number Diff line number Diff line change
Expand Up @@ -171,7 +171,7 @@ module stream_xbar #(
`endif
for (genvar i = 0; unsigned'(i) < NumInp; i++) begin : gen_sel_assertions
assert property (@(posedge clk_i) disable iff (~rst_ni)
(valid_i[i] |-> sel_i[i] < sel_oup_t'(NumOut))) else
(valid_i[i] |-> sel_i[i] < NumOut)) else
$fatal(1, "Non-existing output is selected!");
end

Expand Down

0 comments on commit 145e3be

Please sign in to comment.