Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Add ECC axi_llc (uncorrectable error handling) to astral-v0 cheshire #128

Draft
wants to merge 11 commits into
base: astral-v0
Choose a base branch
from
Draft
17 changes: 9 additions & 8 deletions Bender.lock
Original file line number Diff line number Diff line change
Expand Up @@ -24,14 +24,15 @@ packages:
- common_verification
- tech_cells_generic
axi_llc:
revision: 4deb8c6281c74b3882846ad933f42a8c6568cbe0
revision: 2c1fecc78b9523ce318c8a1e3be35db1754429ef
version: null
source:
Git: https://github.com/pulp-platform/axi_llc
dependencies:
- axi
- common_cells
- common_verification
- redundancy_cells
- register_interface
- tech_cells_generic
axi_riscv_atomics:
Expand All @@ -44,8 +45,8 @@ packages:
- common_cells
- common_verification
axi_rt:
revision: 56074a195b1c8b05f4bdd73674e437bbcb35f2cd
version: 0.0.0-alpha.7
revision: d5f857e74d0a5db4e4a2cc3652ca4f40f29a1484
version: 0.0.0-alpha.8
source:
Git: https://github.com/pulp-platform/axi_rt.git
dependencies:
Expand Down Expand Up @@ -85,8 +86,8 @@ packages:
- common_cells
- register_interface
common_cells:
revision: f4d6406070d8e7767e4e9a433f11b039859f03a1
version: null
revision: be3866eb3ed5b8ac7bc9a9ec9f8ae86137cd0a45
version: 1.36.0
source:
Git: https://github.com/pulp-platform/common_cells.git
dependencies:
Expand Down Expand Up @@ -125,8 +126,8 @@ packages:
dependencies:
- common_cells
idma:
revision: 95f366e56f7e772c283fb3c8b343afc4a3978375
version: 0.6.2
revision: c12caf59bb482fe44b27361f6924ad346b2d22fe
version: 0.6.3
source:
Git: https://github.com/pulp-platform/iDMA.git
dependencies:
Expand Down Expand Up @@ -163,7 +164,7 @@ packages:
- register_interface
- tech_cells_generic
redundancy_cells:
revision: c44ff73521186d2bc1dd77a17664539a3b3e2406
revision: f41308f0d9afbcc309592783b0b982a2edbe217a
version: null
source:
Git: https://github.com/pulp-platform/redundancy_cells.git
Expand Down
6 changes: 3 additions & 3 deletions Bender.yml
Original file line number Diff line number Diff line change
Expand Up @@ -14,19 +14,19 @@ package:
dependencies:
apb_uart: { git: "https://github.com/pulp-platform/apb_uart.git", version: 0.2.1 }
axi: { git: "https://github.com/pulp-platform/axi.git", version: 0.39.2 }
axi_llc: { git: "https://github.com/pulp-platform/axi_llc", rev: 4deb8c6 } # branch: astral
axi_llc: { git: "https://github.com/pulp-platform/axi_llc", rev: 2c1fecc } # branch: zx/ecc
axi_riscv_atomics: { git: "https://github.com/pulp-platform/axi_riscv_atomics.git", rev: 034bf8941 } # branch: master
axi_rt: { git: "https://github.com/pulp-platform/axi_rt.git", version: 0.0.0-alpha.7 }
axi_vga: { git: "https://github.com/pulp-platform/axi_vga.git", version: 0.1.3 }
clic: { git: "https://github.com/pulp-platform/clic.git", rev: 40ae266 } # branch: critical-path
clint: { git: "https://github.com/pulp-platform/clint.git", version: 0.2.0 }
common_cells: { git: "https://github.com/pulp-platform/common_cells.git", rev: f4d6406070 } # branch: master
common_cells: { git: "https://github.com/pulp-platform/common_cells.git", version: 1.36.0 } # branch: master
common_verification: { git: "https://github.com/pulp-platform/common_verification.git", version: 0.2.0 }
cva6: { git: "https://github.com/pulp-platform/cva6.git", rev: "25c431b" } # branch: yt/ecc
iDMA: { git: "https://github.com/pulp-platform/iDMA.git", version: 0.6.2 }
irq_router: { git: "https://github.com/pulp-platform/irq_router.git", version: 0.0.1-beta.1 }
opentitan_peripherals: { git: "https://github.com/pulp-platform/opentitan_peripherals.git", version: 0.4.0 }
redundancy_cells: { git: "https://github.com/pulp-platform/redundancy_cells.git", rev: "c44ff735" } # branch: astral-v0
redundancy_cells: { git: "https://github.com/pulp-platform/redundancy_cells.git", rev: f41308f }
register_interface: { git: "https://github.com/pulp-platform/register_interface.git", version: 0.4.4 }
riscv-dbg: { git: "https://github.com/pulp-platform/riscv-dbg.git", version: 0.8.1 }
serial_link: { git: "https://github.com/pulp-platform/serial_link.git", version: 1.1.1 }
Expand Down
2 changes: 2 additions & 0 deletions hw/cheshire_soc.sv
Original file line number Diff line number Diff line change
Expand Up @@ -514,6 +514,8 @@ module cheshire_soc import cheshire_pkg::*; #(
.AxiUserWidth ( Cfg.AxiUserWidth ),
.AxiUserIdMsb ( Cfg.LlcUserMsb ),
.AxiUserIdLsb ( Cfg.LlcUserLsb ),
.DataEccGranularity( 32 ),
.TagEccGranularity ( 32 ),
.slv_req_t ( axi_slv_req_t ),
.slv_resp_t ( axi_slv_rsp_t ),
.mst_req_t ( axi_ext_llc_req_t ),
Expand Down
4 changes: 2 additions & 2 deletions hw/cva6_wrap.sv
Original file line number Diff line number Diff line change
Expand Up @@ -163,8 +163,8 @@ if (NumHarts > 1) begin: gen_multicore_hmr
// .bus_outputs_t ( '0 ), // TODO
.axi_req_t ( axi_req_t ),
.reg_req_t ( reg_req_t ), // TODO
.reg_rsp_t ( reg_rsp_t ), // TODO
.rapid_recovery_t ( rapid_recovery_pkg::rapid_recovery_t ) // TODO
.reg_rsp_t ( reg_rsp_t ) // TODO
// .rapid_recovery_t ( rapid_recovery_pkg::rapid_recovery_t ) // TODO
) i_cva6_hmr (
.clk_i ( clk_i ),
.rst_ni ( rstn_i ),
Expand Down
Loading