Skip to content

Commit

Permalink
update CHANGELOG
Browse files Browse the repository at this point in the history
  • Loading branch information
micprog committed Jul 25, 2024
1 parent 0015266 commit bea4059
Showing 1 changed file with 4 additions and 0 deletions.
4 changes: 4 additions & 0 deletions CHANGELOG.md
Original file line number Diff line number Diff line change
Expand Up @@ -19,6 +19,10 @@ and this project adheres to [Semantic Versioning](http://semver.org/spec/v2.0.0.
- `axi_dw_downsizer`: Fix `i_forward_b_beats_queue` underflow.
- `axi_test`: Ensure random requests do not cross 4KiB page boundaries.

### Changed
- `axi_id_serializer`: Change internal design (and behavior) for simpler code, less hardware, and
less stalling.

## 0.39.3 - 2024-05-08
### Added
- `axi_sim_mem`: Allow response data for uninitialized region to have configurable defined value.
Expand Down

0 comments on commit bea4059

Please sign in to comment.