Skip to content

Commit

Permalink
Adapt a few timeouts that are hit and miss on the CI (#921)
Browse files Browse the repository at this point in the history
Signed-off-by: Henner Zeller <[email protected]>
  • Loading branch information
hzeller authored Jul 10, 2020
1 parent e5f00b3 commit 16bfad4
Show file tree
Hide file tree
Showing 9 changed files with 14 additions and 1 deletion.
7 changes: 6 additions & 1 deletion conf/generators/meta-path/basejump.json
Original file line number Diff line number Diff line change
Expand Up @@ -15,7 +15,12 @@
"cores/basejump_stl/bsg_noc"
],
"timeouts": {
"bsg_scatter_gather.v": "60"
"bsg_scatter_gather.v": "60",
"bsg_launch_sync_sync.v": "60",
"bsg_cache.v": "30",
"bsg_cache_non_blocking_mhu.v": "30",
"bsg_tag_master.v": "30",
"bsg_round_robin_fifo_to_fifo.v": "30"
},
"blacklist": [
"bsg_nonsynth_mixin_motherboard.v",
Expand Down
1 change: 1 addition & 0 deletions tests/testbenches/uvm_agent_active.sv
Original file line number Diff line number Diff line change
Expand Up @@ -3,6 +3,7 @@
:description: uvm active agent (agent + monitor + driver + sequencer) test
:tags: uvm uvm-agents
:type: simulation parsing
:timeout: 30
*/

import uvm_pkg::*;
Expand Down
1 change: 1 addition & 0 deletions tests/testbenches/uvm_agent_env.sv
Original file line number Diff line number Diff line change
Expand Up @@ -3,6 +3,7 @@
:description: uvm agent + env test
:tags: uvm uvm-agents
:type: simulation parsing
:timeout: 30
*/

import uvm_pkg::*;
Expand Down
1 change: 1 addition & 0 deletions tests/testbenches/uvm_agent_passive.sv
Original file line number Diff line number Diff line change
Expand Up @@ -3,6 +3,7 @@
:description: passive uvm_agent (agent + monitor + env) test
:tags: uvm uvm-agents
:type: simulation parsing
:timeout: 30
*/

import uvm_pkg::*;
Expand Down
1 change: 1 addition & 0 deletions tests/testbenches/uvm_driver_sequencer_env.sv
Original file line number Diff line number Diff line change
Expand Up @@ -3,6 +3,7 @@
:description: uvm driver + sequencer + env test
:tags: uvm uvm-classes
:type: simulation parsing
:timeout: 30
*/

import uvm_pkg::*;
Expand Down
1 change: 1 addition & 0 deletions tests/testbenches/uvm_monitor_env.sv
Original file line number Diff line number Diff line change
Expand Up @@ -3,6 +3,7 @@
:description: uvm monitor + env test
:tags: uvm uvm-classes
:type: simulation parsing
:timeout: 30
*/

import uvm_pkg::*;
Expand Down
1 change: 1 addition & 0 deletions tests/testbenches/uvm_scoreboard_env.sv
Original file line number Diff line number Diff line change
Expand Up @@ -3,6 +3,7 @@
:description: uvm scoreboard + env test
:tags: uvm uvm-scoreboards
:type: simulation parsing
:timeout: 30
*/

import uvm_pkg::*;
Expand Down
1 change: 1 addition & 0 deletions tests/testbenches/uvm_scoreboard_monitor_agent_env.sv
Original file line number Diff line number Diff line change
Expand Up @@ -3,6 +3,7 @@
:description: uvm scoreboard + monitor + agent + env test
:tags: uvm uvm-scoreboards
:type: simulation parsing
:timeout: 30
*/

import uvm_pkg::*;
Expand Down
1 change: 1 addition & 0 deletions tests/testbenches/uvm_scoreboard_monitor_env.sv
Original file line number Diff line number Diff line change
Expand Up @@ -3,6 +3,7 @@
:description: uvm scoreboard + monitor + env test
:tags: uvm uvm-scoreboards
:type: simulation parsing
:timeout: 30
*/

import uvm_pkg::*;
Expand Down

0 comments on commit 16bfad4

Please sign in to comment.