Skip to content

harishravi121/VHDLandVerilog

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

2 Commits
 
 

Repository files navigation

VHDLandVerilog

Lots of VHDL and verilog code module toplevel(clock,reset); input clock; input reset;

reg flop1; reg flop2;

always @ (posedge reset or posedge clock) if (reset) begin flop1 <= 0; flop2 <= 1; end else begin flop1 <= flop2; flop2 <= flop1; end endmodule

About

Lots of VHDL and verilog code

Resources

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published