Skip to content

Commit

Permalink
Fix test for tests/fmt/display_lm.v
Browse files Browse the repository at this point in the history
  • Loading branch information
daglem committed Jan 11, 2024
1 parent d493225 commit 4946e7c
Show file tree
Hide file tree
Showing 2 changed files with 1 addition and 2 deletions.
1 change: 0 additions & 1 deletion tests/fmt/display_lm.v
Original file line number Diff line number Diff line change
Expand Up @@ -7,6 +7,5 @@ module mid ();
endmodule

module bot ();
initial $display("%%l: %l\n%%m: %m");
always $display("%%l: %l\n%%m: %m");
endmodule
2 changes: 1 addition & 1 deletion tests/fmt/run-test.sh
Original file line number Diff line number Diff line change
Expand Up @@ -67,7 +67,7 @@ iverilog -o iverilog-always_full-1 yosys-always_full-1.v always_full_tb.v
./iverilog-always_full-1 |grep -v '\$finish called' >iverilog-always_full-1.log
diff iverilog-always_full.log iverilog-always_full-1.log

../../yosys -p "read_verilog display_lm.v" >yosys-display_lm.log
../../yosys -p "read_verilog display_lm.v; hierarchy -auto-top; proc; sim" >yosys-display_lm.log
../../yosys -p "read_verilog display_lm.v; write_cxxrtl yosys-display_lm.cc"
${CC:-gcc} -std=c++11 -o yosys-display_lm_cc -I../../backends/cxxrtl/runtime display_lm_tb.cc -lstdc++
./yosys-display_lm_cc >yosys-display_lm_cc.log
Expand Down

0 comments on commit 4946e7c

Please sign in to comment.