Skip to content

Commit

Permalink
Updated version number and fixed lint issues.
Browse files Browse the repository at this point in the history
  • Loading branch information
Lars Asplund authored and LarsAsplund committed Jan 11, 2016
1 parent 55f25d9 commit aba54c4
Show file tree
Hide file tree
Showing 8 changed files with 11 additions and 10 deletions.
4 changes: 2 additions & 2 deletions setup.py
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
# License, v. 2.0. If a copy of the MPL was not distributed with this file,
# You can obtain one at http://mozilla.org/MPL/2.0/.
#
# Copyright (c) 2015, Lars Asplund [email protected]
# Copyright (c) 2015-2016, Lars Asplund [email protected]

from setuptools import setup
import os
Expand Down Expand Up @@ -30,7 +30,7 @@ def find_all_files(directory, endings=None):

setup(
name='vunit_hdl',
version='0.50.0',
version='0.50.1',
packages=['vunit',
'vunit.com',
'vunit.test',
Expand Down
2 changes: 1 addition & 1 deletion vunit/com/codec_generator.py
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
# License, v. 2.0. If a copy of the MPL was not distributed with this file,
# You can obtain one at http://mozilla.org/MPL/2.0/.
#
# Copyright (c) 2015, Lars Asplund [email protected]
# Copyright (c) 2015-2016, Lars Asplund [email protected]

"""
Module for generating VHDL com codecs.
Expand Down
5 changes: 3 additions & 2 deletions vunit/vhdl/com/run.py
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
# License, v. 2.0. If a copy of the MPL was not distributed with this file,
# You can obtain one at http://mozilla.org/MPL/2.0/.
#
# Copyright (c) 2014-2015, Lars Asplund [email protected]
# Copyright (c) 2014-2016, Lars Asplund [email protected]

from os.path import join, dirname
from vunit import VUnit
Expand All @@ -14,5 +14,6 @@
tb_com_lib = ui.add_library("tb_com_lib")
tb_com_lib.add_source_files(join(root, 'test', '*.vhd'))
pkg = tb_com_lib.package('custom_types_pkg')
pkg.generate_codecs(codec_package_name='custom_codec_pkg', used_packages=['ieee.std_logic_1164', 'constants_pkg', 'tb_com_lib.more_constants_pkg'])
pkg.generate_codecs(codec_package_name='custom_codec_pkg', used_packages=['ieee.std_logic_1164', 'constants_pkg',
'tb_com_lib.more_constants_pkg'])
ui.main()
2 changes: 1 addition & 1 deletion vunit/vhdl/com/src/com_string.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -10,7 +10,7 @@
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2015, Lars Asplund [email protected]
-- Copyright (c) 2015-2016, Lars Asplund [email protected]
library ieee;
use ieee.std_logic_1164.all;
use ieee.math_complex.all;
Expand Down
2 changes: 1 addition & 1 deletion vunit/vhdl/com/test/constants.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -4,7 +4,7 @@
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2015, Lars Asplund [email protected]
-- Copyright (c) 2015-2016, Lars Asplund [email protected]

package constants_pkg is
constant byte_msb_c : natural := 7;
Expand Down
2 changes: 1 addition & 1 deletion vunit/vhdl/com/test/custom_types.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -4,7 +4,7 @@
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2015, Lars Asplund [email protected]
-- Copyright (c) 2015-2016, Lars Asplund [email protected]

library vunit_lib;
context vunit_lib.vunit_context;
Expand Down
2 changes: 1 addition & 1 deletion vunit/vhdl/com/test/more_constants.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -4,7 +4,7 @@
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2015, Lars Asplund [email protected]
-- Copyright (c) 2015-2016, Lars Asplund [email protected]

package more_constants_pkg is
constant byte_lsb_c : natural := 0;
Expand Down
2 changes: 1 addition & 1 deletion vunit/vhdl/com/test/tb_com_codec.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -4,7 +4,7 @@
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2015, Lars Asplund [email protected]
-- Copyright (c) 2015-2016, Lars Asplund [email protected]

library vunit_lib;
context vunit_lib.vunit_context;
Expand Down

0 comments on commit aba54c4

Please sign in to comment.