Skip to content

Commit

Permalink
ftm4dp: add rstn_ref signal
Browse files Browse the repository at this point in the history
  • Loading branch information
alyxazon committed Aug 27, 2024
1 parent 0622c90 commit 55b049a
Showing 1 changed file with 1 addition and 0 deletions.
1 change: 1 addition & 0 deletions top/gsi_scu/ftm4dp/ftm4dp.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -189,6 +189,7 @@ architecture rtl of ftm4dp is
signal s_stub_pll_reset : std_logic;
signal s_stub_pll_locked : std_logic;
signal s_stub_pll_locked_prev : std_logic;
signal rstn_ref : std_logic;

signal s_i2c_scl_pad_out : std_logic_vector(1 downto 1);
signal s_i2c_scl_pad_in : std_logic_vector(1 downto 1);
Expand Down

0 comments on commit 55b049a

Please sign in to comment.