-
Notifications
You must be signed in to change notification settings - Fork 0
/
LogicalStep_Lab2_top.qsf
306 lines (304 loc) · 17.3 KB
/
LogicalStep_Lab2_top.qsf
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
# -------------------------------------------------------------------------- #
#
# Copyright (C) 1991-2015 Altera Corporation. All rights reserved.
# Your use of Altera Corporation's design tools, logic functions
# and other software and tools, and its AMPP partner logic
# functions, and any output files from any of the foregoing
# (including device programming or simulation files), and any
# associated documentation or information are expressly subject
# to the terms and conditions of the Altera Program License
# Subscription Agreement, the Altera Quartus Prime License Agreement,
# the Altera MegaCore Function License Agreement, or other
# applicable license agreement, including, without limitation,
# that your use is for the sole purpose of programming logic
# devices manufactured by Altera and sold by Altera or its
# authorized distributors. Please refer to the applicable
# agreement for further details.
#
# -------------------------------------------------------------------------- #
#
# Quartus Prime
# Version 15.1.0 Build 185 10/21/2015 SJ Standard Edition
# Date created = 09:06:29 January 23, 2018
#
# -------------------------------------------------------------------------- #
#
# Notes:
#
# 1) The default values for assignments are stored in the file:
# LogicalStep_Lab2_top_assignment_defaults.qdf
# If this file doesn't exist, see file:
# assignment_defaults.qdf
#
# 2) Altera recommends that you do not modify this file. This
# file is updated automatically by the Quartus Prime software
# and any changes you make may be lost or overwritten.
#
# -------------------------------------------------------------------------- #
set_global_assignment -name FAMILY "MAX 10"
set_global_assignment -name DEVICE 10M08SAE144C8G
set_global_assignment -name TOP_LEVEL_ENTITY LogicalStep_Lab2_top
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 15.1.0
set_global_assignment -name PROJECT_CREATION_TIME_DATE "11:20:37 MARCH 14, 2016"
set_global_assignment -name LAST_QUARTUS_VERSION 15.1.0
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 256
set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (VHDL)"
set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation
set_global_assignment -name TCL_SCRIPT_FILE LogicalStep_Lab2.tcl
set_global_assignment -name SOURCE_FILE LogicalStep_Lab2_top.vhd
set_global_assignment -name SOURCE_FILE segment7_mux.vhd
set_global_assignment -name SOURCE_FILE SevenSegment.vhd
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 1A
set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 1B
set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 2
set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 3
set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 4
set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 5
set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 6
set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 7
set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 8
set_global_assignment -name ENABLE_OCT_DONE OFF
set_global_assignment -name ENABLE_CONFIGURATION_PINS OFF
set_global_assignment -name ENABLE_BOOT_SEL_PIN OFF
set_global_assignment -name USE_CONFIGURATION_DEVICE OFF
set_global_assignment -name CRC_ERROR_OPEN_DRAIN OFF
set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -rise
set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -fall
set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -rise
set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -fall
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
set_global_assignment -name FLOW_ENABLE_POWER_ANALYZER ON
set_global_assignment -name POWER_DEFAULT_INPUT_IO_TOGGLE_RATE "12.5 %"
set_global_assignment -name CDF_FILE output_files/Chain1.cdf
set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS OFF
set_global_assignment -name CDF_FILE output_files/Chain2.cdf
set_global_assignment -name EXTERNAL_FLASH_FALLBACK_ADDRESS 00000000
set_global_assignment -name INTERNAL_FLASH_UPDATE_MODE "SINGLE COMP IMAGE"
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to aud_adc_dat
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to aud_adc_lrck
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to aud_bclk
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to aud_dac_dat
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to aud_dac_lrck
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to aud_scl
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to aud_sda
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to clkin_50
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to lcd_d[7]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to lcd_d[6]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to lcd_d[5]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to lcd_d[4]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to lcd_d[3]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to lcd_d[2]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to lcd_d[1]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to lcd_d[0]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to lcd_en
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to lcd_rs
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to lcd_rw
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to leds[7]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to leds[6]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to leds[5]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to leds[4]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to leds[3]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to leds[2]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to leds[1]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to leds[0]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to rst_n
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sd_clk
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sd_cmd
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sd_dat0
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sd_dat3
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sdram_a[12]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sdram_a[11]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sdram_a[10]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sdram_a[9]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sdram_a[8]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sdram_a[7]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sdram_a[6]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sdram_a[5]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sdram_a[4]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sdram_a[3]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sdram_a[2]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sdram_a[1]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sdram_a[0]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sdram_ba[1]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sdram_ba[0]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sdram_cas_n
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sdram_cke
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sdram_clk
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sdram_cs_n
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sdram_dq[15]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sdram_dq[14]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sdram_dq[13]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sdram_dq[12]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sdram_dq[11]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sdram_dq[10]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sdram_dq[9]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sdram_dq[8]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sdram_dq[7]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sdram_dq[6]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sdram_dq[5]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sdram_dq[4]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sdram_dq[3]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sdram_dq[2]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sdram_dq[1]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sdram_dq[0]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sdram_dqm[1]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sdram_dqm[0]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sdram_ras_n
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sdram_we_n
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to seg7_char1
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to seg7_char2
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to seg7_data[7]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to seg7_data[6]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to seg7_data[5]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to seg7_data[4]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to seg7_data[3]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to seg7_data[2]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to seg7_data[1]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to seg7_data[0]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sw[7]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sw[6]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sw[5]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sw[4]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sw[3]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sw[2]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sw[1]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to sw[0]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to uart_rx
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to uart_tx
set_location_assignment PIN_26 -to aud_bclk
set_location_assignment PIN_27 -to leds[0]
set_location_assignment PIN_28 -to leds[1]
set_location_assignment PIN_33 -to aud_mclk
set_location_assignment PIN_29 -to clkin_50
set_location_assignment PIN_32 -to rst_n
set_location_assignment PIN_30 -to sw[0]
set_location_assignment PIN_122 -to seg7_char1
set_location_assignment PIN_120 -to seg7_char2
set_location_assignment PIN_126 -to seg7_data[7]
set_location_assignment PIN_136 -to seg7_data[6]
set_location_assignment PIN_134 -to seg7_data[5]
set_location_assignment PIN_121 -to seg7_data[4]
set_location_assignment PIN_105 -to seg7_data[3]
set_location_assignment PIN_140 -to seg7_data[2]
set_location_assignment PIN_138 -to seg7_data[1]
set_location_assignment PIN_123 -to seg7_data[0]
set_location_assignment PIN_131 -to sd_clk
set_location_assignment PIN_132 -to sd_cmd
set_location_assignment PIN_130 -to sd_dat0
set_location_assignment PIN_135 -to sd_dat3
set_location_assignment PIN_7 -to leds[5]
set_location_assignment PIN_10 -to leds[4]
set_location_assignment PIN_12 -to leds[3]
set_location_assignment PIN_41 -to leds[7]
set_location_assignment PIN_38 -to leds[6]
set_location_assignment PIN_54 -to lcd_d[7]
set_location_assignment PIN_55 -to lcd_d[6]
set_location_assignment PIN_56 -to lcd_d[5]
set_location_assignment PIN_57 -to lcd_d[4]
set_location_assignment PIN_58 -to lcd_d[3]
set_location_assignment PIN_59 -to lcd_d[2]
set_location_assignment PIN_60 -to lcd_d[1]
set_location_assignment PIN_47 -to lcd_d[0]
set_location_assignment PIN_50 -to lcd_en
set_location_assignment PIN_48 -to lcd_rs
set_location_assignment PIN_52 -to lcd_rw
set_location_assignment PIN_43 -to pb[3]
set_location_assignment PIN_44 -to pb[2]
set_location_assignment PIN_45 -to pb[1]
set_location_assignment PIN_46 -to pb[0]
set_location_assignment PIN_22 -to aud_adc_dat
set_location_assignment PIN_21 -to aud_adc_lrck
set_location_assignment PIN_25 -to aud_dac_dat
set_location_assignment PIN_24 -to aud_dac_lrck
set_location_assignment PIN_39 -to sw[6]
set_location_assignment PIN_6 -to sw[5]
set_location_assignment PIN_8 -to sw[4]
set_location_assignment PIN_11 -to sw[3]
set_location_assignment PIN_14 -to sw[2]
set_location_assignment PIN_13 -to sw[1]
set_location_assignment PIN_84 -to sdram_a[12]
set_location_assignment PIN_80 -to sdram_a[11]
set_location_assignment PIN_75 -to sdram_a[10]
set_location_assignment PIN_78 -to sdram_a[9]
set_location_assignment PIN_76 -to sdram_a[8]
set_location_assignment PIN_70 -to sdram_a[7]
set_location_assignment PIN_69 -to sdram_a[6]
set_location_assignment PIN_66 -to sdram_a[5]
set_location_assignment PIN_65 -to sdram_a[4]
set_location_assignment PIN_64 -to sdram_a[3]
set_location_assignment PIN_62 -to sdram_a[2]
set_location_assignment PIN_61 -to sdram_a[1]
set_location_assignment PIN_74 -to sdram_a[0]
set_location_assignment PIN_77 -to sdram_ba[1]
set_location_assignment PIN_79 -to sdram_ba[0]
set_location_assignment PIN_86 -to sdram_cas_n
set_location_assignment PIN_87 -to sdram_cke
set_location_assignment PIN_89 -to sdram_clk
set_location_assignment PIN_81 -to sdram_cs_n
set_location_assignment PIN_119 -to sdram_dq[15]
set_location_assignment PIN_118 -to sdram_dq[14]
set_location_assignment PIN_114 -to sdram_dq[13]
set_location_assignment PIN_113 -to sdram_dq[12]
set_location_assignment PIN_112 -to sdram_dq[11]
set_location_assignment PIN_100 -to sdram_dq[10]
set_location_assignment PIN_99 -to sdram_dq[9]
set_location_assignment PIN_97 -to sdram_dq[8]
set_location_assignment PIN_92 -to sdram_dq[7]
set_location_assignment PIN_96 -to sdram_dq[6]
set_location_assignment PIN_98 -to sdram_dq[5]
set_location_assignment PIN_101 -to sdram_dq[4]
set_location_assignment PIN_102 -to sdram_dq[3]
set_location_assignment PIN_106 -to sdram_dq[2]
set_location_assignment PIN_111 -to sdram_dq[1]
set_location_assignment PIN_110 -to sdram_dq[0]
set_location_assignment PIN_90 -to sdram_dqm[0]
set_location_assignment PIN_91 -to sdram_dqm[1]
set_location_assignment PIN_85 -to sdram_ras_n
set_location_assignment PIN_88 -to sdram_we_n
set_location_assignment PIN_17 -to leds[2]
set_location_assignment PIN_141 -to sw[7]
set_location_assignment PIN_127 -to uart_rx
set_location_assignment PIN_124 -to uart_tx
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to pb[3]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to pb[2]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to pb[1]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to pb[0]
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to aud_mclk
set_instance_assignment -name CURRENT_STRENGTH_NEW 2MA -to seg7_data[7]
set_instance_assignment -name CURRENT_STRENGTH_NEW 2MA -to seg7_data[4]
set_instance_assignment -name CURRENT_STRENGTH_NEW 2MA -to seg7_data[3]
set_instance_assignment -name CURRENT_STRENGTH_NEW 2MA -to seg7_data[2]
set_location_assignment PIN_93 -to aud_sda
set_location_assignment PIN_15 -to aud_scl
set_instance_assignment -name AUTO_OPEN_DRAIN_PINS ON -to seg7_data[1]
set_instance_assignment -name AUTO_OPEN_DRAIN_PINS ON -to seg7_data[5]
set_instance_assignment -name AUTO_OPEN_DRAIN_PINS ON -to seg7_data[6]
set_global_assignment -name VECTOR_WAVEFORM_FILE output_files/waveform.vwf
set_global_assignment -name CDF_FILE output_files/Chain3.cdf
set_global_assignment -name VHDL_FILE concatenate.vhdl
set_global_assignment -name VHDL_FILE mux.vhd
set_global_assignment -name VHDL_FILE add.vhd
set_global_assignment -name VHDL_FILE concatenate.vhd
set_global_assignment -name CDF_FILE output_files/Chain4.cdf
set_global_assignment -name VHDL_FILE output_files/Logic_Processor.vhd
set_global_assignment -name VHDL_FILE Logic_Processor.vhd
set_global_assignment -name CDF_FILE output_files/Chain5.cdf
set_global_assignment -name CDF_FILE output_files/Chain6.cdf
set_global_assignment -name CDF_FILE output_files/Chain7.cdf
set_global_assignment -name CDF_FILE output_files/Chain8.cdf
set_global_assignment -name CDF_FILE output_files/Chain9.cdf
set_global_assignment -name CDF_FILE output_files/Chain10.cdf
set_global_assignment -name CDF_FILE output_files/Chain11.cdf
set_global_assignment -name CDF_FILE output_files/Chain12.cdf
set_global_assignment -name CDF_FILE output_files/Chain13.cdf
set_global_assignment -name CDF_FILE output_files/Chain14.cdf
set_global_assignment -name CDF_FILE output_files/Chain15.cdf
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
set_global_assignment -name VECTOR_WAVEFORM_FILE Waveform.vwf