Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Notes on CHISEL/FIRRTL #1

Open
sequencer opened this issue Jan 6, 2021 · 5 comments
Open

Notes on CHISEL/FIRRTL #1

sequencer opened this issue Jan 6, 2021 · 5 comments

Comments

@sequencer
Copy link
Member

sequencer commented Jan 6, 2021

目前Chisel/FIRRTL社区比较冷清
我提议是对RocketChip进行拆包后,添加文档测试,学习LLVM的优良传统,确定依赖,添加文档,可以upstream的upstream,不能的部分,自己作为Upstream进行持续维护,目前我正在推进多个工具进标准库:

  1. Clock-domain-crossing
  • AsyncQueue: architecture, verification.
  • FIRRTL clock domain annotation: CircuitGraph, Clock-Domain-Analysis
  1. Decoder API
  1. Verification API
  • SVA Property emission
  • FPGA synthesis Verification
  • Verilator integration
  1. diploamcy API
  • Architecture documentation
  • User documentation
  • UnitTest

在本次的双周会上我会拖一遍代码进行介绍。
贡献者能力要求:

  1. Scala
  2. FIRRTL Framework
  3. ASIC/FPGA experience

我会同步Chisel Dev的讨论到中国社区。可以指导相关的代码实现,可以Review PR。

@lazyparser
Copy link
Member

great!

@yqszxx
Copy link

yqszxx commented Jan 6, 2021

终于蹲到一个自己满足能力要求又想做的项目了😂dalao带我一个!

@SihaoLiu
Copy link

SihaoLiu commented Jan 6, 2021

太好了,加我一个😀

@sequencer
Copy link
Member Author

Update:
@yqszxx 领取Decoder chipsalliance/chisel#1737.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

4 participants