Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Layers not emitted when used as colors of probes with separate elaboration #4469

Open
dtzSiFive opened this issue Oct 15, 2024 · 0 comments
Open

Comments

@dtzSiFive
Copy link
Member

Type of issue: Bug Report

Please provide the steps to reproduce the problem:

  1. Checkout this commit of Chisel (small change to test to demonstrate issue):

4932549

  1. testOnly chiselTests.experimental.hierarchy.SeparateElaborationSpec (I did this by launching sbt and entering this at the prompt)

What is the current behavior?

Errors due to generated FIRRTL using layers that aren't declared:

[info] src/test/scala/chiselTests/experimental/hierarchy/Examples.scala:43:23: error: probe port 'p' is associated with layer '@A', but this layer was not defined
[info]     @public val p = IO(probe.Probe(UInt(width.W), A))

What is the expected behavior?

All used layers are emitted, no error when using layer-colored probes in this way.

Please tell us about your environment:

Other Information

What is the use case for changing the behavior?

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

1 participant