Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

How to access chisel-generated modules using SystemVerilog interface #4313

Open
thuako opened this issue Jul 26, 2024 · 0 comments
Open

How to access chisel-generated modules using SystemVerilog interface #4313

thuako opened this issue Jul 26, 2024 · 0 comments

Comments

@thuako
Copy link

thuako commented Jul 26, 2024

Type of issue: Feature Request

Is your feature request related to a problem? Please describe.

I am currently working with Chisel 6 and would like to access a module created in Chisel using the SystemVerilog interface syntax.

As far as I know, CIRCT's SV dialect contains enough operations for interfaces and a build process for the SV language. Nevertheless, it seems that Chisel does not yet support the functionality related to systemverilog interfaces.

In order to use SystemVerilog interface operations, it seems like Chisel would need to implement the interface statement in the sv dialect, but I'm not an expert on Chisel, so it would be difficult to use CIRCT's additional operations without some guidance.

Can you provide any resources or guidance on how to add these operations? Any guidance or documentation related to extending Chisel to support CIRCT's additional operations would be very helpful.

Thank you in advance for your help.

@thuako thuako changed the title Assistance Needed for Accessing Chisel-generated Modules Using SystemVerilog Interface How to access chisel-generated modules using SystemVerilog interface Jul 26, 2024
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

1 participant