Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Explicit entity signal naming? #9

Open
DasLixou opened this issue May 1, 2024 · 0 comments
Open

Explicit entity signal naming? #9

DasLixou opened this issue May 1, 2024 · 0 comments
Labels
A - Lang Area: Language Design S - Controversy Status: Controversy

Comments

@DasLixou
Copy link
Member

DasLixou commented May 1, 2024

Having an arch over an entity

entity MyEntity {
    in a: std::Logical,
}

arch RTL for MyEntity {
    AnotherComp {
        a <= a, // this is the important line
    }
}

we currently can directly name the port a from the entity.
But since it's not directly in the arch, we may consider to refer to it via self.a (a more rusty approach) or entity.a (my favorite)

@DasLixou DasLixou added A - Lang Area: Language Design S - Controversy Status: Controversy labels May 1, 2024
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
A - Lang Area: Language Design S - Controversy Status: Controversy
Projects
None yet
Development

No branches or pull requests

1 participant